Collision end

From Second Life Wiki
Revision as of 10:35, 25 October 2008 by Strife Onizuka (talk | contribs)
Jump to navigation Jump to search

Description

! Event: collision_end( integer num_detected ){ ; }

Triggered when task stops colliding with another task

• integer num_detected

Caveats

  • This event does not always trigger reliably.
All Issues ~ Search JIRA for related Bugs

Examples

<lsl>collision_end(integer total_number) {

   llOwnerSay("The collison I've had with " + llDetectedName(0) + "has ended.");

}</lsl>

See Also

Deep Notes

Issues

All Issues

~ Search JIRA for related Issues
   Collisions passed to parent REGARDLESS llPassCollisions(FALSE) in child

Signature

event void collision_end( integer num_detected );